Ripple Carry Adder: Bekerja, Jenis dan Aplikasinya

Coba Instrumen Kami Untuk Menghilangkan Masalah





Di elektronik digital penambahan bilangan biner dua-bit dapat dilakukan dengan menggunakan setengah penambah . Dan jika urutan masukan memiliki urutan tiga bit, maka proses penjumlahan dapat diselesaikan dengan menggunakan penambah penuh. Namun jika jumlah bit lebih banyak pada urutan input maka proses dapat diselesaikan dengan menggunakan half adder. Karena penambah penuh tidak dapat menyelesaikan operasi penambahan. Jadi kekurangan ini bisa diatasi dengan menggunakan “Ripple Carry Adder”. Ini adalah jenis yang unik dari sirkuit logika digunakan untuk menambahkan angka N-bit dalam operasi digital. Artikel ini menjelaskan gambaran umum tentang apa itu ripple-carry-adder dan operasinya.

Apa itu Ripple Carry Adder?

Sebuah struktur dari beberapa penjumlah penuh di-cascade untuk memberikan hasil penambahan urutan biner n bit. Penambah ini mencakup penambah penuh bertingkat dalam strukturnya sehingga, muatan akan dihasilkan pada setiap tahap penambah penuh dalam rangkaian penambah ripple-carry. Output pembawa ini pada setiap tahap penambah penuh diteruskan ke penambah penuh berikutnya dan di sana diterapkan sebagai masukan pembawa untuk itu. Proses ini berlanjut hingga tahap penambah penuh terakhir. Jadi, setiap bit keluaran carry beriak ke tahap selanjutnya dari penambah penuh. Oleh karena itu, ini dinamakan sebagai “RIPPLE CARRY ADDER”. Fitur yang paling penting dari itu adalah menambahkan urutan bit input apakah urutannya 4 bit atau 5 bit atau apapun.




“Salah satu hal terpenting yang harus diperhatikan dalam carry adder ini adalah hasil akhir hanya diketahui setelah keluaran carry dihasilkan oleh setiap tahap penambah penuh dan diteruskan ke tahap berikutnya. Jadi akan ada penundaan untuk mendapatkan hasil dengan menggunakan carry adder ini ”.

Ada berbagai jenis penambah pembawa riak. Mereka:



  • Penambah ripple-carry 4-bit
  • Penambah ripple-carry 8-bit
  • Penambah ripple-carry 16-bit

Pertama, kita akan mulai dengan 4-bit ripple-carry-adder dan kemudian 8 bit dan 16-bit ripple-carry adder.

4-bit Ripple Carry Adder

Diagram di bawah ini mewakili penambah ripple-carry 4-bit. Dalam penambah ini, empat penambah penuh dihubungkan dalam kaskade. Co adalah bit input carry dan selalu nol. Ketika input ini membawa 'Co' diterapkan ke dua urutan input A1 A2 A3 A4 dan B1 B2 B3 B4 maka output diwakili dengan S1 S2 S3 S4 dan output carry C4.


Diagram RCA 4-bit

Bekerja dari 4-bit Ripple Carry Adder

  • Mari kita ambil contoh dua urutan input 0101 dan 1010. Ini mewakili A4 A3 A2 A1 dan B4 B3 B2 B1.
  • Sesuai konsep adder ini, input carry adalah 0.
  • Ketika Ao & Bo diterapkan pada penambah penuh pertama bersama dengan input carry 0.
  • Di sini A1 = 1 B1 = 0 Cin = 0
  • Sum (S1) dan carry (C1) akan dihasilkan sesuai dengan persamaan Sum dan Carry dari penjumlah ini. Sesuai teorinya, persamaan keluaran untuk Sum = A1⊕B1⊕Cin dan Carry = A1B1⊕B1Cin⊕CinA1
  • Sesuai persamaan ini, untuk penambah penuh pertama S1 = 1 dan Output Carry yaitu, C1 = 0.
  • Sama seperti bit masukan A2 dan B2 berikutnya, keluaran S2 = 1 dan C2 = 0. Di sini poin pentingnya adalah tahap kedua penambah penuh mendapat masukan carry yaitu, C1 yang merupakan keluaran keluaran penambah penuh tahap awal.
  • Seperti ini akan didapatkan urutan keluaran akhir (S4 S3 S2 S1) = (1 1 1 1) dan Output carry C4 = 0
  • Ini adalah proses penambahan untuk urutan masukan 4-bit saat diterapkan ke penambah pembawa ini.

Ripple Carry Adder 8-bit

  • Ini terdiri dari 8 penambah penuh yang dihubungkan dalam bentuk bertingkat.
  • Setiap output carry penambah penuh dihubungkan sebagai input carry ke tahap berikutnya penambah penuh.
  • Urutan masukan dilambangkan dengan (A1 A2 A3 A4 A5 A6 A7 A8) dan (B1 B2 B3 B4 B5 B6 B7 B8) dan urutan keluaran yang relevan dilambangkan dengan (S1 S2 S3 S4 S5 S6 S7 S8).
  • Proses penambahan dalam ripple-carry-adder 8-bit adalah prinsip yang sama yang digunakan dalam ripple-carry-adder 4-bit yaitu, setiap bit dari dua urutan input akan ditambahkan bersama dengan input carry.
  • Ini akan digunakan ketika penambahan dua urutan digit biner 8 bit.
8bit-ripple-carry-adder

8bit-ripple-carry-adder

Ripple Carry Adder 16-bit

  • Ini terdiri dari 16 penambah penuh yang dihubungkan dalam bentuk bertingkat.
  • Setiap output carry penambah penuh dihubungkan sebagai input carry ke tahap berikutnya penambah penuh.
  • Urutan masukan dilambangkan dengan (A1… .. A16) dan (B1 …… B16) dan urutan keluaran yang relevan dilambangkan dengan (S1 …… .. S16).
  • Proses penambahan dalam ripple-carry-adder 16-bit adalah prinsip yang sama yang digunakan dalam penambah ripple-carry 4-bit, yaitu, setiap bit dari dua urutan input akan ditambahkan bersama dengan input carry.
  • Ini akan digunakan saat penambahan dua urutan digit biner 16 bit.
16-bit-ripple-carry-adder

16-bit-ripple-carry-adder

Tabel Kebenaran Ripple Carry Adder

Tabel kebenaran di bawah ini menunjukkan nilai output untuk kemungkinan kombinasi semua input untuk ripple-carry-adder.

A1 A2 A3 A4 B4 B3 B2 B1 S4 S3 S2 S1

Membawa

0

000000000000
010001001000

0

1

000100000001
101010100100

1

110011001000

1

111011101100

1

111111111110

1

Kode VHDL Ripple Carry Adder

VHDL (VHSIC HDL) adalah bahasa deskripsi perangkat keras. Ini adalah bahasa desain digital. Kode VHDL untuk carry adder ini ditampilkan di bawah.

perpustakaan IEEE
gunakan IEEE.STD_LOGIC_1164.ALL

entitas Ripplecarryadder adalah
Port (A: di STD_LOGIC_VECTOR (3 turun menjadi 0)
B: di STD_LOGIC_VECTOR (3 turun menjadi 0)
Cin: di STD_LOGIC
S: out STD_LOGIC_VECTOR (3 turun menjadi 0)
Cout: keluar STD_LOGIC)
mengakhiri Ripplecarryadder

arsitektur Behavioral dari Ripplecarryadder adalah - Deklarasi Komponen Kode VHDL Adder Penuh
komponen full_adder_vhdl_code
Port (A: di STD_LOGIC
B: di STD_LOGIC
Cin: di STD_LOGIC
S: keluar STD_LOGIC
Cout: keluar STD_LOGIC)
komponen akhir

- Deklarasi Intermediate Carry
Sinyal c1, c2, c3: STD_LOGIC

mulai

- Port Mapping Full Adder 4 kali
FA1: full_adder_vhdl_code port map (A (0), B (0), Cin, S (0), c1)
FA2: peta port full_adder_vhdl_code (A (1), B (1), c1, S (1), c2)
FA3: peta port full_adder_vhdl_code (A (2), B (2), c2, S (2), c3)
FA4: full_adder_vhdl_code port map (A (3), B (3), c3, S (3), Cout)

mengakhiri Perilaku

Ripple Carry Adder Verilog Code

Kode Verilog adalah bahasa deskripsi perangkat keras. Ini digunakan dalam sirkuit digital pada tahap RTL untuk tujuan desain dan verifikasi. Kode Verilog untuk carry adder ini ditampilkan di bawah.

modul ripple_carry_adder (a, b, cin, sum, cout)
masukan [03: 0] a
masukan [03: 0] b
masukan cin
keluaran [03: 0] jumlah
keluaran cout
kawat [2: 0] c
fulladd a1 (a [0], b [0], cin, sum [0], c [0])
fulladd a2 (a [1], b [1], c [0], sum [1], c [1])
fulladd a3 (a [2], b [2], c [1], sum [2], c [2])
fulladd a4 (a [3], b [3], c [2], jumlah [3], cout)
endmodule
modul fulladd (a, b, CIN, sum, cout)
masukan a, b, cin
jumlah keluaran, cout
menetapkan jumlah = (a ^ b ^ cin)
assign cout = ((a & b) | (b & cin) | (a & cin))

Aplikasi Ripple Carry Adder

Aplikasi ripple-carry-adder meliputi yang berikut ini.

  • Penambah pembawa ini sebagian besar digunakan sebagai tambahan untuk urutan masukan n-bit.
  • Penambah pembawa ini dapat digunakan dalam pemrosesan sinyal digital dan Mikroprosesor .

Keunggulan Ripple Carry Adder

Keunggulan ripple-carry-adder meliputi yang berikut ini.

  • Keunggulan carry adder ini adalah kita dapat melakukan proses penjumlahan urutan n-bit untuk mendapatkan hasil yang akurat.
  • Perancangan adder ini bukanlah proses yang kompleks.

Ripple membawa adder adalah alternatif ketika setengah penambah dan penambah penuh tidak melakukan operasi penjumlahan ketika urutan bit masukan besar. Tapi di sini, ini akan memberikan keluaran untuk apapun urutan bit masukan dengan beberapa penundaan. Sesuai rangkaian digital jika rangkaian memberikan keluaran dengan penundaan tidak akan disukai. Hal ini dapat diatasi dengan sirkuit adder carry look-ahead.